Forum: FPGA, VHDL & Co. Digitaltechnik, Schiebregister


von Dousa B. (ayoooo)


Angehängte Dateien:

Lesenswert?

Frage: wie du diese Schaltungen miteinander kombinieren kannst um die 
LEDs deines Entwicklungsboards mit dem Schieberegister steuern zu 
können. Erstelle einen Schaltplan für deine Idee, und übertrage deine 
Schaltung auf den FPGA. Beschreibe die Funktion deiner Schaltung.

Ich weiß nicht , wie kann ich das machen . kann jemand mir helfen . Und 
Danke im Voraus!!

: Verschoben durch Moderator
von tja (Gast)


Lesenswert?

Der Klassiker - Hausaufgabe.
Bevor man hier wieder eine große Diskussion über fehlende Informationen 
lostritt kann ich dir mit besten Wissen und Gewissen empfehlen, dass du 
deine Komillitonen fragen könntest. Die werden dir bestimmt schneller 
und effizienter helfen können als hier im Forum, wo es erstmal zwei Tage 
dauert, bis man alle notwendigen Informationen von der rausgekitzelt 
hat.

von Schatz, ich habe die Studenten geschrumpft (Gast)


Lesenswert?

tja schrieb:
> Komillitonen
Es wird wahrscheinlich auch reichen, die Komilitonen zu fragen und sich 
das überzählige "L" für andere Zwecke aufzusparen, es sein denn, es 
handelt sich um sehr kleine studentische Waffengefährten im 
Milli-Meter-Bereich, so wie bei dne beiden Typen aus "Nachts im Museum".

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Dousa B. schrieb:
> Ich weiß nicht , wie kann ich das machen
Du musst dir da jetzt mal Gedanken machen, was denn diese Schaltungen 
tun. Und dann überlegen, wie du sie zur Lösung der Aufgabe einsetzen 
könntest.

Und wenn du das dann hast und dabei auf konkrete Probleme stößt, dann 
können wir uns das mal ansehen.

BTW: es ist eine wirklich doofe Idee, irgendwelchen Sourcecode als Bild 
zu posten. Überlege mal, warum das so ist.
Ein Tipp: wie sollte man denn den geposteten Code in seinen Editor 
bekommen?

von Fpgakuechle K. (Gast)


Lesenswert?

Lothar M. schrieb:

> BTW: es ist eine wirklich doofe Idee, irgendwelchen Sourcecode als Bild
> zu posten. Überlege mal, warum das so ist.
> Ein Tipp: wie sollte man denn den geposteten Code in seinen Editor
> bekommen?

Hab mir mal den Spass gemacht, das png durch eine online OCR 
(https://www.onlineocr.net/de/)zu schicken
1
module count #( parameter WIDTH = 12 )( input wire clk_i, input wire rst_i, input wire en_i, output wire [WIDTH-1:0] count, reg [WIDTH-1:0] count_reg; 
2
always @(posedge clk_i) begin : sequential if (rst_i) begin count_reg <= 0; end else begin if (en_i) begin co unt_reg <= count_reg 1; end end end 
3
assign count, = count_reg; endmodule

ein paar Minuten Arbeit mit suboptimalen Ergebnis. Das hätte der TO mit 
cut&paste besser und in wenigen sekunden hinbekommen.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Fpgakuechle K. schrieb:
> Das hätte der TO mit cut&paste besser und in wenigen sekunden hinbekommen.
Man kann beim Auswählen des Dateianhangs einfach die passende *.v Datei 
statt des Bildes auswählen. Das wäre noch weniger Aufwand, weil man 
nicht mal einen Screenshot absepichern muss.

von vancouver (Gast)


Lesenswert?

Fpgakuechle K. schrieb:
> Hab mir mal den Spass gemacht, das png durch eine online OCR

Jetzt hast mur mir einen gewaltigen Schrecken eingejagt. Ich dachte im 
ersten Moment, du hättest eines der Schematics in Verilog-Code 
konvertiert...

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.