Forum: FPGA, VHDL & Co. [IP_Flow 19-4299] Failed to copy wenn ich simulieren will


von Netzpinsel (Gast)


Lesenswert?

Hi hi,
wollte vivado 2019 auf einem anderen pc testen. Aber beim Simulieren 
geht es einfach nicht wie bei meinem haupt pc :(
Kennt jemand die Nachrichten?

[IP_Flow 19-4299] Failed to copy 
'/home/meinname/project_3/project_3.srcs/sources_1/ip/xaui_0/xaui_0_stub 
.v'  to 
'/home/meinname/xaui_0_ex/xaui_0_ex.srcs/sources_1/ip/xaui_0/xaui_0_stub 
.v'

[IP_Flow 19-4299] Failed to copy 
'/home/meinname/project_3/project_3.srcs/sources_1/ip/xaui_0/xaui_0_stub 
.vhdl'  to 
'/home/meinname/xaui_0_ex/xaui_0_ex.srcs/sources_1/ip/xaui_0/xaui_0_stub 
.vhdl'

[IP_Flow 19-4299] Failed to copy 
'/home/meinname/project_3/project_3.srcs/sources_1/ip/xaui_0/xaui_0_sim_ 
netlist.v'  to 
'/home/meinname/xaui_0_ex/xaui_0_ex.srcs/sources_1/ip/xaui_0/xaui_0_sim_ 
netlist.v'

[IP_Flow 19-4299] Failed to copy 
'/home/meinname/project_3/project_3.srcs/sources_1/ip/xaui_0/xaui_0_sim_ 
netlist.vhdl'  to 
'/home/meinname/xaui_0_ex/xaui_0_ex.srcs/sources_1/ip/xaui_0/xaui_0_sim_ 
netlist.vhdl'

und
[USF-XSim-62] 'elaborate' step failed with error(s). Please check the 
Tcl console output or 
'/home/meinname/xaui_0_ex/xaui_0_ex.sim/sim_1/behav/xsim/elaborate.log' 
file for more information.


und

ERROR: [XSIM 43-3409] Failed to compile generated C file 
xsim.dir/testbench_behav/obj/xsim_13.c.
ERROR: [XSIM 43-3915] Encountered a fatal error. Cannot continue. 
Exiting...

wenn ich simulieren möchte kommen mehrere Warnungen und Fehler..
habe extra eine neue kostenlose Lizenz angelegt erstellt gedownloaded 
und importiert.

von Duke Scarring (Gast)


Lesenswert?

Sind die Vivado-Versionsnummern bis auf die letzte Ziffer identisch?
Evtl. müssen noch die Simulationsmodelle der Xilinx-IP kompiliert 
werden.

Duke

von Netzpinsel (Gast)


Lesenswert?

Duke Scarring schrieb:
> Sind die Vivado-Versionsnummern bis auf die letzte Ziffer
> identisch?

Habe auf meinem Haupt PC 2019.1
und hier 2019.3

> Evtl. müssen noch die Simulationsmodelle der Xilinx-IP kompiliert
> werden.
>
> Duke
Hmm und weißt du wie das geht?
Weil es hat sonst immer so funktioniert..
Habe einfach das example design aufgerufen und dann auf Simulation 
geklickt xD

von Netzpinsel (Gast)


Lesenswert?

der verwendete ip core ist kostenlos erhältlich.

von Netzpinsel (Gast)


Lesenswert?

oder hat das was mit Nutzerrechten auf Linux zu tun?
Linux hat immer große Probleme mit den Nutzerrechten :D

von Duke Scarring (Gast)


Angehängte Dateien:

Lesenswert?

Netzpinsel schrieb:
> Habe auf meinem Haupt PC 2019.1
> und hier 2019.3
Das kann problematisch sein. WIMRE gab es zwischen 2020.01 und 2020.02 
gravierende Änderungen. Wie es 2019 aussah, entzieht sich meiner 
Kenntnis.

> Hmm und weißt du wie das geht?
In Vivado 2017 gab es da einen Menüpunkt und ein TCL-Kommando.

Netzpinsel schrieb:
> oder hat das was mit Nutzerrechten auf Linux zu tun?
> Linux hat immer große Probleme mit den Nutzerrechten
Nein. Linux hat nur ein Problem mit Nutzern, die damit nicht umgehen 
können.
Mit Vivado und Linux und Nutzerrechten habe ich noch keine Probleme 
gehabt.

Duke

von Netzpinsel (Gast)


Angehängte Dateien:

Lesenswert?

glaube das compilieren hat noch nicht so ganz geklappt


es wird zwar etwas gemacht aber wenn ich dann simulieren möchte steht 
da:



[Vivado 12-3754] Failed to find the 'modelsim' simulator executable. 
Make sure to set the 'modelsim' installation environment and retry this 
command to compile the libraries for this simulator. For more 
information on tool setup refer 'modelsim' user guide.


Duke Scarring schrieb:
> Nein. Linux hat nur ein Problem mit Nutzern, die damit nicht umgehen
> können.

alles ist relativ

Duke Scarring schrieb:
> In Vivado 2017 gab es da einen Menüpunkt und ein TCL-Kommando.

auch interessant

von Fpgakuechle K. (Gast)


Lesenswert?

Netzpinsel schrieb:

> [Vivado 12-3754] Failed to find the 'modelsim' simulator executable.

heisst das nicht, das im Feld Pathim Screenshoot was nderes als xaui 
stehen sollte ... siehe auch: 
https://support.xilinx.com/s/article/58333?language=en_US

Hasst du überhaupt  modelsim von mentro Graphics installiert? Ist IMHO 
ein extra-paket neben vivado.

von Netzpinsel (Gast)


Angehängte Dateien:

Lesenswert?

Fpgakuechle K. schrieb:
> Hasst du überhaupt  modelsim von mentro Graphics installiert? Ist IMHO
> ein extra-paket neben vivado.

gute Frage

ich sehe auch gerade, dass bei meinem anderen PC auch modelsim 
eingestellt ist.

hmm

von Netzpinsel (Gast)


Angehängte Dateien:

Lesenswert?

also unter settings simulation steht vivado simulator.. so wie bei 
meinem haupt pc

von Fpgakuechle K. (Gast)


Lesenswert?

Netzpinsel schrieb:
> also unter settings simulation steht vivado simulator

Scheint wohl nicht sonderlich zu interessieren, wenn der model-simpath 
bemeckert wird. Und dann wäre auch noch die Frage der precompiled 
libraries, stand da nicht auch was von modelsim ????
https://www.mikrocontroller.net/attachment/547035/Screenshot_from_2022-02-16_09-51-24.png

und wie ich vivado kenne, müssern erst einige run-time scripte neu 
erzeugt werden, damit die settings aktiv werden.

In den screenshoot scheint auch die GUI 'angeschossen'; mind. eine 
check-box scheint fehlplatziert. Da sollte man vielleicht alles neu 
starten, und versuchen das Projekt aufzuräumen. Oder wurde da lediglich 
ein paar Zeichen aus dem home-path gelöscht, respective mit weissen 
rechteck 'übermalt'?

von Netzpinsel (Gast)


Lesenswert?

Fpgakuechle K. schrieb:
> und wie ich vivado kenne, müssern erst einige run-time scripte neu
> erzeugt werden, damit die settings aktiv werden.

ok ... ja hauptsache es funktioniert

Fpgakuechle K. schrieb:
> Scheint wohl nicht sonderlich zu interessieren, wenn der model-simpath
> bemeckert wird.

der model-simpath?
doch interessiert mich xD



also meinst du vivado hat nicht die Einstellung übernommen, dass ein 
vivado simulator verwendet werden soll und versucht stattdessen modelsim 
zu benutzen?

Fpgakuechle K. schrieb:
> In den screenshoot scheint auch die GUI 'angeschossen'; mind. eine
> check-box scheint fehlplatziert. Da sollte man vielleicht alles neu
> starten, und versuchen das Projekt aufzuräumen. Oder wurde da lediglich
> ein paar Zeichen aus dem home-path gelöscht, respective mit weissen
> rechteck 'übermalt'?

ja habe meinen namen verdeckt xD

das projekt ist nur das example design , dass man mit rechtsklcik 
aufrufen kann.

Das habe ich bereits 10G Mal aufgerufen :)

von Duke Scarring (Gast)


Lesenswert?

Vielleicht war das mit den precompiled Libs nut eine falsche Fährte.
Laut euren Screenshots scheint das nur bei externen Simulatoren relevant 
zu sein.

Duke

von Duke Scarring (Gast)


Lesenswert?

Andere Idee: Genug Speicherplatz auf Deiner Platte ist vorhanden?

von Netzpinsel (Gast)


Lesenswert?

Duke Scarring schrieb:
> Andere Idee: Genug Speicherplatz auf Deiner Platte ist vorhanden?

140 GB sind noch frei

Duke Scarring schrieb:
> Vielleicht war das mit den precompiled Libs nut eine falsche Fährte.
> Laut euren Screenshots scheint das nur bei externen Simulatoren relevant
> zu sein.
>
> Duke

ja kann sein.
Nur falls man das hier sucht hier noch mal:
Da steht ja auc hwas von modelsim,,, aber was wollen die damit sagen...

[Vivado 12-3754] Failed to find the 'modelsim' simulator executable.
Make sure to set the 'modelsim' installation environment and retry this
command to compile the libraries for this simulator. For more
information on tool setup refer 'modelsim' user guide.

ich schaue mir mal das an:
https://support.xilinx.com/s/question/0D52E00006hpLKcSAM/error-vivado-123754-failed-to-find-the-questasim-simulator-executable-vivado-20192?language=en_US

von Netzpinsel (Gast)


Lesenswert?

ob es wohl geht wenn ich einen neuen Account mache?

von Netzpinsel (Gast)


Lesenswert?

#@^!xyzA)%:

das geht immer noch nicht.
habe vivado neu installiert und jetzt 2019 drauf und auch mal die lizenz 
etwas angepasst.

Vielleicht muss ich nur passende Bibliotheken installieren ...

LOL ES KLAPPT
OMGOMGOMGOMGOGMGOGMGOGM

von Netzpinsel (Gast)


Lesenswert?

sudo apt-get install libncurses5

 habe ich gemacht.....

von Netzpinsel (Gast)


Lesenswert?

Finde es auch richtig geil wenn man mal kein Internet hat :D

Soll man dann die Dateien von der Tanke holen?

Antwort: ja
man soll sich Internet Prepaid Karten kaufen

Was wenn man kein Geld hat?
Antwort: wird schon

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.