Forum: FPGA, VHDL & Co. Forge FPGA, kostenloser eval kit


von Antti L. (trioflex)


Lesenswert?

es scheint die Forge FPGA's von Renesas sind jetzt endlich da.
kostet 1.2USD in kleinen mengen, und unter 0.5USD bei grossen mengen.

https://readymag.website/u2198516662/4834379/?utm_campaign=spot-ren-202407-emea-en&utm_medium=email&utm_source=Eloqua

da machen die werbung für kostenlosen eval kit.

Ich bin echt enttäuscht:
* nur eine VCCIO bank, kein "level shifting" anwendung möglich
* keine 3.3V support, nur 1.8..2.5V :(
* OTP programmierung nur in Factory, nicht in system :(

von Dergute W. (derguteweka)


Lesenswert?

Moin,

Ist mir ein echtes Raetsel, warum dieser Laden so dick im Geschaeft ist.

Und wie entwickel' ich dann fuer/auf den Dingern?
Koennen die, solange sie nicht geOTPt sind, beliebig oft extern mit 
einem Bitstream konfiguriert werden?

Gruss
WK

von Stefan M. (stefan_m833)


Lesenswert?

Ja, Datenblatt Kapitel 8, 
https://www.renesas.com/us/en/document/dst/slg47910-datasheet?r=25546631, 
per SPI Flash, per uC und per OTP. Das By Factory ist ein weiteres 
Zusatzfeature

: Bearbeitet durch User
von Dergute W. (derguteweka)


Lesenswert?

Moin,

Stefan M. schrieb:
> Das By Factory ist ein weiteres
> Zusatzfeature

Axo.
Unfasslich, da gibt's tatsaechlich ein Datenblatt fuer Normalsterbliche.

Gruss
WK

von Motopick (motopick)


Lesenswert?

Schade das das Zwergerl kein Multiplizierer/DSP-Bloecke hat.

Aber es werden sich ganz sich Anwendungen finden. Ein wenig
internen Speicher hat er ja. Und im Gegensatz zur Microchipschen
"CLB", ist es ein richtiger FPGA.

> * nur eine VCCIO bank, kein "level shifting" anwendung möglich
> * keine 3.3V support, nur 1.8..2.5V :(

An meinem ersten Z80-Board hingen pMOS DRAMs. Die brauchten sogar
noch "Leseverstaerker". Rate mal was unangehmer ist. :)

von J. S. (engineer) Benutzerseite


Lesenswert?

scheinen mir die richtige Lösung für so manche Anwendungen, wo mal 
schnell ein ADC oder ein serieller Datenstrom angeschlossen werden muss, 
wie z.B. DSD oder PDM und man außer etwas Dezimation nicht viel braucht.

von Zino (zinn)


Lesenswert?

Antti L. schrieb:
> * keine 3.3V support, nur 1.8..2.5V :(
> * OTP programmierung nur in Factory, nicht in system :(

In einer früheren Datenblattversion (2.3 von 2022) waren das noch 1,71 
bis 3,6 V und da war auch beschrieben, wie man den OTP-Speicher 
beschreibt. Vielleicht geht das noch immer so.

von Zino (zinn)


Lesenswert?

Offenbar wurde die OTP-Dokumentation nur in ein anderes Dokument 
ausgelagert: ForgeFPGA Configuration Guide.

von 🍅🍅 🍅. (tomate)


Lesenswert?

Yeah, toller OTP Wegwerf-Schrott!

OTP ist Scheisse, egal ob in Factory oder einmal zuhause, das Geile an 
den FPGAs ist doch, dass man da beliebig oft mit spielen kann, ohne dass 
man den Chip küblisieren muss.

: Bearbeitet durch User
von Frank O. (frank_o)


Lesenswert?

Antti L. schrieb:
> 
https://readymag.website/u2198516662/4834379/?utm_campaign=spot-ren-202407-emea-en&utm_medium=email&utm_source=Eloqua

Wäre das eine günstige Gelegenheit einmal in FPGA rein zu schnuppern?
Aber ich kann so schlecht lügen, wenn ich da jetzt rein schreiben soll, 
dass ich wer weiß was damit machen will und mindestens 1000 Stück von 
den Dingern hinterher brauche.
Anders gefragt: Womit sollte man anfangen und wie sehen die Kosten aus, 
wenn man sich mit FPGA beschäftigen will?

von Thomas H. (thomash2)


Lesenswert?

🍅🍅 🍅. schrieb:
> Yeah, toller OTP Wegwerf-Schrott!
>
> OTP ist Scheisse, egal ob in Factory oder einmal zuhause, das Geile an
> den FPGAs ist doch, dass man da beliebig oft mit spielen kann, ohne dass
> man den Chip küblisieren muss.

OTP ist halt einfach billiger zu implementieren, für diverse 
Applikationen ist das ganz okay verwende selber einen OTP FPGA und habe 
damit überhaupt keine Probleme, die werden bespielt bevor sie bestückt 
werden.

von Thomas H. (thomash2)


Lesenswert?

Antti L. schrieb:
> da machen die werbung für kostenlosen eval kit.

hat dort schon jemals wer ein kostenloses Eval Kit bekommen? Das sieht 
für mich eher so aus als ob die Daten abgreifen wollen auf Myboard Club 
(hab das auch einmal versucht aber nie wieder was gehört).

von Motopick (motopick)


Angehängte Dateien:

Lesenswert?

Frank O. schrieb:
> Antti L. schrieb:
>>
> 
https://readymag.website/u2198516662/4834379/?utm_campaign=spot-ren-202407-emea-en&utm_medium=email&utm_source=Eloqua
>
> Wäre das eine günstige Gelegenheit einmal in FPGA rein zu schnuppern?
> Aber ich kann so schlecht lügen, wenn ich da jetzt rein schreiben soll,
> dass ich wer weiß was damit machen will und mindestens 1000 Stück von
> den Dingern hinterher brauche.
> Anders gefragt: Womit sollte man anfangen und wie sehen die Kosten aus,
> wenn man sich mit FPGA beschäftigen will?

Das VHDL ist ueberall das selbe. :) Insoweit ist die Gelegenheit
gegeben. Aber aus eigener Erfahrung mit Renesas-Tools weiss ich,
dass manches dort immer recht kompliziert geloest ist.
Und die Dokumentation zwar formal richtig, aber wenig huelfreich ist.

Warte auf eines der "Promo"-Boards, der sonst namhaften Hersteller.
Ich habe vor einigen Jahren mit eben einem solchen Xilinx Board
von Avnet (Xilinx® Spartan™-3A Evaluation Kit) angefangen.
ISE10.1 gab es gleich auf DVD dazu. Und der grundsaetzliche
Workflow, war dann bei Alteras Quartus auch wiederzuerkennen.

Der dort verwendete FPGA, ein XC3S400A-4FTG256C, ist aber mit einem
400000 Gatteraequivalent, wesentlich groesser als der Renesaszwerg.
Und das wird dir vermutlich schon beim ersten interessanten Projekt
das du umsetzen moechtest, auf die Fuesse fallen...

Die "kleinsten" FPGA die heute auf solchen zur Lehre bestimmten
Boards Verwendung finden, haben ca. 10 k LEs oder mehr.
(Max 10M08, Cyclone4 CE6, CE10 oder CE22)

Das Avnet Xilinxboard leistet auch heute noch wertvolle Dienste als
32 kanaliger 100/200 MHz Logikanalyzer.

Aber ich will dich nicht abhalten. Ein Anfang ist oft ja besser
als Warten.

von Frank O. (frank_o)


Lesenswert?

Motopick schrieb:
> (Xilinx® Spartan™-3A Evaluation Kit)

Danke dir! Das war schon sehr umfangreich. Da ich nicht schlafen konnte, 
hatte ich einige Videos gesehen.
Genau mein Ding. Wird Zeit, dass ich in Rente gehe, damit ich dann Zeit 
für all die schönen Dinge habe. Aber geht auch nicht, denn ich habe die 
letzten Monate wieder ein Vermögen ausgebeben. Also muss ich noch ein 
wenig Kohle ran schaffen. 😳

von Motopick (motopick)


Lesenswert?

Frank O. schrieb:
> ... Wird Zeit, dass ich in Rente gehe, damit ich dann Zeit
> für all die schönen Dinge habe. Aber geht auch nicht, denn ich habe die
> letzten Monate wieder ein Vermögen ausgebeben. Also muss ich noch ein
> wenig Kohle ran schaffen. 😳

Die Vermutung, dass man dafuer ein Vermoegen braucht, ist falsch.
Selbst die erforderliche Messtechnik, wenn man sie denn braucht,
kostet gebraucht gekauft fast nichts mehr. Oder man benutzt die
frisch erworbenen Kenntnisse um sie selbst zu bauen.

Brauchen wirst du, je nach eigener Voraussetzung, vor allem Zeit.

Wer seine Schaltungen selbst entworfen hat, wird weniger brauchen.
Der "Informatiker" der alles nur brogrammieren kann oder will,
dagegen ewig, weil er es nicht versteht.

von Uwe B. (Firma: TU Darmstadt) (uwebonnes)


Lesenswert?

Findchip findet das Evalboard SLG7EVBFORGE nur fuer ueber 100 Euro...

von Frank O. (frank_o)


Lesenswert?

Motopick schrieb:
> Der "Informatiker"

Zum Glück gehöre ich eher zur anderen Fraktion.😀
Motopick, vielen Dank für deine umfangreichen Ausführungen!
Vor allem solche persönlichen Erfahrungen sind wichtig zu hören.
Diese ganzen Videos, so gut manche sein mögen, sind trotzdem sie sehr 
hilfreich sind, die geschönte Wahrheit.
Hatte gestern Nacht noch erstmal meinen Segger J-Link upgedated.
Bisher noch nie benutzt, aber ich denke bei dem Board, dass ich bestelle 
habe(keine 10 Euro), kommt der zum Einsatz.
Als ich damals angefangen hatte, zu dieser Zeit hatte ich auch den 
Jagdschein gemacht, da war ich fasziniert von dem Gehörschutz von MSA.
Ich wollte damals auch was mit DSP machen. Wie ich gesehen habe, scheint 
es auch möglich zu sein, digitale Filter damit zu bauen. Das wäre z.B. 
ein Gebiet, auf welchem ich mich mit FPGA begeben wollte. Und auch alles 
um Motorsteuerungen und CAN interessiert mich.
Das du die Schaltungen, wie beispielsweise bei so einer Logo 8 oder SPS 
zusammen bauen kannst, das finde ich besonders toll.

: Bearbeitet durch User
von Motopick (motopick)


Lesenswert?

Frank O. schrieb:

> Ich wollte damals auch was mit DSP machen. Wie ich gesehen habe, scheint
> es auch möglich zu sein, digitale Filter damit zu bauen. Das wäre z.B.
> ein Gebiet, auf welchem ich mich mit FPGA begeben wollte. Und auch alles
> um Motorsteuerungen und CAN interessiert mich.
> Das du die Schaltungen, wie beispielsweise bei so einer Logo 8 oder SPS
> zusammen bauen kannst, das finde ich besonders toll.

Wenn man "Signalverarbeitung" auf einem FPGA machen moechte, ist ein
(oder mehrere) DSP zum schnellen Prototyping durchaus huelfreich.
Sich mit DSPs zu beschaeftigen, ist also kein Umweg.
Nur ist ein DSP von den Resourcen her eben beschraenkt.
Fuer das "Ganze Wellnessprogramm", wird man dann doch den FPGA brauchen.

Und wenn der zunaechst gewaehlte FPGA nicht gross genug ist,
kann man bei FPGA, gegen den Einwurf von $$$, fast beliebig skalieren.

von Frank O. (frank_o)


Lesenswert?

Motopick schrieb:
> gegen den Einwurf von $$$, fast beliebig skalieren.

Das ist heute wirklich schon anders, aber immer noch, verglichen mit der 
nachgebauten Arduino-Hardware und ESPs, immer noch schwindelerregend.

von Motopick (motopick)


Lesenswert?

Frank O. schrieb:
> Motopick schrieb:
>> gegen den Einwurf von $$$, fast beliebig skalieren.
>
> Das ist heute wirklich schon anders, aber immer noch, verglichen mit der
> nachgebauten Arduino-Hardware und ESPs, immer noch schwindelerregend.

Richtig teuer wird es erst ab einer Million LEs.

Fuer die Boards mit Lernzweck, also die mit ca. 10 k LEs, ist man mit
unter 100 Euro dabei. Vergleichbare gute/sehr gute "Promo"-Boards fuer
weniger als die Haelfte. Kauft man in China, zahlt man zwar wenig,
bekommt aber auch nur wenig. Statt 22 k LEs dann eben nur 6 k und
einen mitunter fragwuerdigen Aufbau dazu. Da kann dann schon einmal
GND auf den Stiftleisten fehlen.

Und das Arduinospielzeug taugt weder zum Lernen, noch ist es
praktisch passgenau einsetzbar. Entweder fehlen IOs, oder es braucht
schlicht zu viel Energie. Es ist kein valider Vergleichsmassstab.
Es schafft auch keinen guenstigen geistigen Naehrboden fuer eine
Beschaeftigung mit FPGAs.

Wenn dir 100 Euro zu viel sind, dann solltest du es vielleicht
einfach bleiben lassen.

von Frank O. (frank_o)


Lesenswert?

Motopick schrieb:
> Es schafft auch keinen guenstigen geistigen Naehrboden fuer eine
> Beschaeftigung mit FPGAs.
>
Das hast du gut gesagt.


> Wenn dir 100 Euro zu viel sind, dann solltest du es vielleicht
> einfach bleiben lassen.

Ich gebe schon ne Menge Geld dafür aus. Im letzten Monat waren es 
bestimmt 1000 Euro (hatte mir erst einen günstigen LA (auf Empfehlung) 
gekauft und dann einen Saleae, dazu wieder jede Menge Kleinkram).
Wenn es sich lohnt, sind auch 500 Euro nicht zu viel.

von Frank O. (frank_o)


Lesenswert?

Motopick schrieb:
> gegen den Einwurf von $$$, fast beliebig skalieren.

Alter! Meine Fresse! Ich habe gerade bei AMD gesehen was du meinst. 32K 
für so Zynq™ UltraScale+™ RFSoC ZCU1285 Characterization Kit. Das sind 
mal Preise.

von Motopick (motopick)


Lesenswert?

Frank O. schrieb:
> Motopick schrieb:
>> gegen den Einwurf von $$$, fast beliebig skalieren.
>
> Alter! Meine Fresse! Ich habe gerade bei AMD gesehen was du meinst. 32K
> für so Zynq™ UltraScale+™ RFSoC ZCU1285 Characterization Kit. Das sind
> mal Preise.

Bevor du das bei deinen Hobbybasteleien brauchen wirst, wirst du eher
schon Gelegenheit haben, ein "Probeliegen" in der Kiste zu vereinbaren.

> Im letzten Monat waren es
> bestimmt 1000 Euro (hatte mir erst einen günstigen LA (auf Empfehlung)
> gekauft und dann einen Saleae

Letzterer ist nur ueberteuerter Hippsterschrott. Versuch mit deren
Software einmal, ein gespeichertes Capture mit einem aktuellen Capture
zu vergleichen. Deren Software erlaubt nicht einmal die Eingabe
einer Zeitbasis um die Darstellungen anzugleichen.
Fuer so viel $$$ haette man besser solide Technik gekauft.
Ein gebrauchtes MSO beispielsweise. Das so einen Saleae bei allen
technischen Parametern locker aussticht.


Und es gibt auch wirkliche Schnaeppchen. Ein Ebay-Verkaeufer verkaufte
vor einigen Jahren mal ein FPGA-basiertes Multiprozessortestsystem.
Jedes Modul, und es waren derer Vier, war mit dem jeweils groessten
Virtex bestueckt. Kaufpreis eines solchen FPGA bei Digikey damals
ueber $ 200000. In der Summe also fast eine Million...

Das System fand dann fuer etwas ueber 100 Euro einen Kaeufer...

von Bradward B. (Firma: Starfleet) (ltjg_boimler)


Lesenswert?

> Alter! Meine Fresse! Ich habe gerade bei AMD gesehen was du meinst. 32K
> für so Zynq™ UltraScale+™ RFSoC ZCU1285 Characterization Kit. Das sind
> mal Preise.

Naja der RFSoC ist das Material aus dem die Mobilfunk-Basestations sind 
(enthält einige High-Speed-ADU).

Fur den Möchtegern-FPGA-Hacker ist dagegen ein Zybo-Board (Zynq ohne 
'Ultrascale' ohne '+' und ohne 'RF' für 150 Ocken im 2nd Hand Stoff für 
jahrelange Beschäftigung. Oder wenn es mit brauchbaren AD/DA-Wandler 
sein muß, Red-Pitaya-Board mit jede Menge hintergeworfener Firmware.

von J. S. (engineer) Benutzerseite


Lesenswert?

🍅🍅 🍅. schrieb:
> OTP ist Scheisse, egal ob in Factory oder einmal zuhause, das Geile an
> den FPGAs ist doch, dass man da beliebig oft mit spielen kann,

Es gibt durchaus Gründe, OTPs zu benutzen:

Zunächst einmal wird dadruch verhindert, dass unbefugt andere FW 
aufgespielt wird. Man kann die Schaltungen auf diese Weise auch 
individuell und nicht übertragbar branden, was mit den Codierverfahren 
der Hersteller (AES und USER Codes) nicht 100% funktioniert. Damit 
lassen sich Funktionsfreigaben für Kunden und Länder regeln.

Ein Nutzer, der eine nicht authorisierte FW verwenden wollte, wäre 
gezwungen, zunächst ein eigenes PCB zu entwickeln, oder zumindest den 
Chip zu tauschen, statt einfach nur eine unbefugte FW aufzuspielen und 
die Softsicherungen darum herum zu umgehen. So lässt sich im Weiteren 
sehr einfach sicherstellen, daß niemand unbemerkt und nicht 
nachvollziehbar eine SW auf ein selbst entwickeltes PCB mit demselben 
Chip überträgt, weil der Ursprung nachvollziehbar ist. D.h. eine Firma 
kann eine Schaltung kopieren, aber sie kann keine anonymisierte 
FW-Version irgendwoher nehmen und aufspielen. Die Quelle der FW wäre 
bekannt.

Darüber hinaus gibt es noch einen technischen Grund: OTP resultiert ja 
aus einer technischen Irreversibilität und die bedingt umgekehrt auch 
eine Stabilität: Die Programmierung geht weniger wahrscheinlich durch 
Strahlung oder Temperatur verloren, was die Betriebssicherheit für 
bestimmte Anwendungen erhöht.

von 🍅🍅 🍅. (tomate)


Lesenswert?

J. S. schrieb:

> Es gibt durchaus Gründe, OTPs zu benutzen:

> Darüber hinaus gibt es noch einen technischen Grund: OTP resultiert ja
> aus einer technischen Irreversibilität und die bedingt umgekehrt auch
> eine Stabilität: Die Programmierung geht weniger wahrscheinlich durch
> Strahlung oder Temperatur verloren, was die Betriebssicherheit für
> bestimmte Anwendungen erhöht.

Quatsch, war früher mal so, als jeder OTP ne Maske in der FAB hatte oder 
mit Antifuse etc.
Heute ist OTP genauso Flash/EPROM mit isoliertem Gate, wo dir über die 
Jahre hinweg die Ladung rausleckt, nur fehlt die Logic zum Löschen.

Der Rest dient nur, zum Kundschaft ärgern, das sind genau die Produkte, 
für die ich keinen Rappen liegenlasse würde.

von Felix W. (fhwe)


Lesenswert?

Eine Frage mal zu diesem FPGA: Ist der wirklich nur ein einziges Mal 
programmierbar oder kann man ihn nach mehreren Programmierungen gezielt 
so sperren, dass er danach nicht mehr programmierbar ist?
Wenn ersteres, dann verstehe ich den Sinn eines Eval-Kits nicht so ganz. 
Ein Programmierfehler und das Ding ist für die Tonne. Das wäre doch nur 
sinnvoll, wenn man gleich noch 10 FPGAs zum Wechseln mit dazubestellt.

von Motopick (motopick)


Lesenswert?

Felix W. schrieb:
> Eine Frage mal zu diesem FPGA: Ist der wirklich nur ein einziges Mal
> programmierbar oder kann man ihn nach mehreren Programmierungen gezielt
> so sperren, dass er danach nicht mehr programmierbar ist?
> Wenn ersteres, dann verstehe ich den Sinn eines Eval-Kits nicht so ganz.
> Ein Programmierfehler und das Ding ist für die Tonne. Das wäre doch nur
> sinnvoll, wenn man gleich noch 10 FPGAs zum Wechseln mit dazubestellt.

Scheinbar hast du weder das verlinkte Datenblatt,
noch die Beitraege hier gelesen.

von Zino (zinn)


Lesenswert?

Felix W. schrieb:
> Das wäre doch nur
> sinnvoll, wenn man gleich noch 10 FPGAs zum Wechseln mit dazubestellt.

Es sind laut Beschreibung bei RENESAS (aber nicht laut der Seite, die 
vorgibt, die Dinger zu verschenken) 10 Chips dabei. Einzeln oder 
rollenweise kann man sie noch gar nicht kaufen, d.h. derzeit nur 
zusammen mit einer Entwicklungsplatine.

: Bearbeitet durch User
von Felix W. (fhwe)


Lesenswert?

Doch, ich habe es schon gelesen - aber nicht verstanden. Das Datenblatt 
sagt zwar aus, dass man den FPGA auch über SPI-Flash oder als Slave an 
einer MCU konfigurieren kann. Aber mir ist nicht klar, ob das Eval-Board 
diese Möglichkeiten auch unterstützt.

von Zino (zinn)


Lesenswert?

Felix W. schrieb:
> Aber mir ist nicht klar, ob das Eval-Board
> diese Möglichkeiten auch unterstützt.

Die Anleitung des Eval-Boards sagt:

Driven by the Go Configure Software Hub, the ForgeFPGA Evaluation Board 
is configured to work with basic FPGA designs and provide such features:
- Configurable VDD and VDDIO Power Sources
- Zero-Force 24-pin Socket
- Emulation and programming options
- PMOD connectors
- UART Terminal Interface

: Bearbeitet durch User
von Felix W. (fhwe)


Lesenswert?

Danke!

von Andi (chefdesigner)


Lesenswert?

🍅🍅 🍅. schrieb:
> für die ich keinen Rappen liegenlasse würde.

Rappen? Bist du Schweizer? Dann wundert mich nichts.

von Frank O. (frank_o)


Lesenswert?

Bradward B. schrieb:
> Oder wenn es mit brauchbaren AD/DA-Wandler
> sein muß, Red-Pitaya-Board mit jede Menge hintergeworfener Firmware.

Das weiß ich alles noch gar nicht. Ich will da erstmal rein schnuppern.
Und natürlich nicht am Anfang mehrere hundert Euro ausgeben.

von Motopick (motopick)


Lesenswert?

Frank O. schrieb:
> Bradward B. schrieb:
>> Oder wenn es mit brauchbaren AD/DA-Wandler
>> sein muß, Red-Pitaya-Board mit jede Menge hintergeworfener Firmware.
>
> Das weiß ich alles noch gar nicht. Ich will da erstmal rein schnuppern.
> Und natürlich nicht am Anfang mehrere hundert Euro ausgeben.

https://www.ebay.com/itm/176422139804
Man braucht da beides. Und eine passende (alte) Quartus Version.
Das muesste die 13.1 sein.
Das Board selbst kostete mal zu guten™ Zeiten 15 Euro.

Etwas moderner:
https://www.ebay.de/itm/194299009292
Zu dem kann ich nichts sagen.

von Frank O. (frank_o)


Lesenswert?

Motopick schrieb:
> https://www.ebay.com/itm/176422139804
> Man braucht da beides. Und eine passende (alte) Quartus Version.
> Das muesste die 13.1 sein.
> Das Board selbst kostete mal zu guten™ Zeiten 15 Euro.

Danke!
Bestellt. Die Software lade ich gerade runter.

von 🍅🍅 🍅. (tomate)


Lesenswert?

Würde wenigstens eins mit LED-Display und paar LEDs und Tasten nehmen, 
mit Pinheader ist gut, wenn man was schnell zusammenwursteln will, aber 
ohne Display/Tasten etc. ist kacke zum testen/debuggen.
https://de.aliexpress.com/item/1005007048249596.html

von Frank O. (frank_o)


Lesenswert?

🍅🍅 🍅. schrieb:
> Würde wenigstens eins mit LED-Display und paar LEDs und Tasten nehmen,
> mit Pinheader ist gut, wenn man was schnell zusammenwursteln will, aber
> ohne Display/Tasten etc. ist kacke zum testen/debuggen.
> https://de.aliexpress.com/item/1005007048249596.html

Mit Ali bin ich so ziemlich auf Kriegsfuß. Die haben mir angeblich ein 
Arduino uno R4 WiFi geliefert. Damit sind die mit dem Thema fertig. 
Board kam nie an. Auch die anderen Sachen sind bis jetzt nicht hier.

von Motopick (motopick)


Angehängte Dateien:

Lesenswert?

🍅🍅 🍅. schrieb:
> Würde wenigstens eins mit LED-Display und paar LEDs und Tasten nehmen,
> mit Pinheader ist gut, wenn man was schnell zusammenwursteln will, aber
> ohne Display/Tasten etc. ist kacke zum testen/debuggen.
> https://de.aliexpress.com/item/1005007048249596.html

Naja. Aber auch nur fuer die allerallerersten (Finger-)Uebungen.
Und es ist durchaus zumutbar, ein paar Taster nebst Pullup,
und einige LEDs nebst Vorwiderstand auf ein Stueck Lochraster
zu tackern, und mit dem Board zu verbinden. Spaeter kommt dann
vielleicht noch ein R/2R-DA-Wandler, ein ADC, externer RAM
oder eine SD-Karte dazu.
Die Lochrasterkarte also nicht zu klein waehlen. :)

3 LEDs und einen Taster hat das Board.

Und es gibt aus der "Retro-Ecke" einige interessante Projekte dafuer.


> https://de.aliexpress.com/item/1005007048249596.html

Bei dem ist einer DIP-Schalter falsch herum beschaltet, der
externe Quarzoszillator nicht an die moeglichen Takteingaenge
der FPGA-PLL angeschlossen und es ist nur ein Cyclone-1 der
ohne (HW-)Multiplizierer daherkommt. Dieses Board wuerde man
nach den allerallerersten Schritten also wohl schnell zur Seite
legen. Fuer einen NEO430 Softcore reicht es bspw. aber gerade so.

von Motopick (motopick)


Angehängte Dateien:

Lesenswert?

P.S.:
Der Schaltplan vom Cyc2-Board.
Ich hoffe mal, die bauen das noch nach diesem Plan. :)

von Markus F. (mfro)


Lesenswert?

Frank O. schrieb:
>> Das muesste die 13.1 sein.

der Cyclone II auf dem Board wird nur bis einschliesslich 13.0sp1 
unterstützt.

von Frank O. (frank_o)


Lesenswert?

Ja danke, schrieb Motopick schon.
Habe ich gestern Nacht installiert.
Das ist jetzt nur mal, um zu sehen, ob ich damit klar komme und das 
wirklich was für mich ist. Im Moment wusste ich nicht einmal einen 
Einsatzzweck. Aber wie bekanntlich auch in anderen Sachen, die Ideen 
wachsen mit den Möglichkeiten Also, wenn ich dann den nächsten Mondrover 
gebaut habe, seht ihr es als erste😆

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.