Hallo,
ich bin gerade dabei VHDL zu lernen und wollte zu der While Schleife mit
einem Vektor als Bedingung was wissen.
signal countout: std_logic_vector(4 downto 0) := (others => '0');
signal enableout: std_logic := '0';
begin
process(clk, rst)
begin
if(rising_edge (clk)) then
if (enableout = '1') then
while(countout /= 10) loop
countout <= countout + "00001";
end loop;
end if;
end if;
end process;
end behave;
So sieht ein Abschnitt meines Programms aus und wenn ich im Wave mir die
Signale anschaue, bleibt das Programm genau dort hängen. Es scheint als
ob ich in einer Endlosschleife wäre. Das Programm sollte mit
ansteigender Flanke die Variable countout hoch bis 10 zählen.
Ich würde gerne wissen ob es möglich ist als Bedingung in der While
Schleife Vektoren zu benutzen und was in meinem Quellcode falsch ist.