Forum: Mikrocontroller und Digitale Elektronik Lauflicht mit ATMEGA 8


von David S. (sefina)


Lesenswert?

Hi zusammen

Also ich bin reichlich neu und brauche eure Hilfe.
Ich habe mir alles für das experimentierboard bestellt und zusammen 
gelötet.

Das Problem war das ich den Microconroller nicht flashen konnte.
Nach langer suche habe ich ein neues Tut gefunden und so konnte ich den 
Controller auf minimal anforderungen laufen und flashen.

Sobald ich aber den Programmer abgezogen habe ging die LED sofort aus 
(die ich Programmiert habe)obwoll die Baterie voll war und den 
Controller 5V gegeben hat.

Nun ist das Problem das ich für ein Hochzeitsgeschenk in 2 Wochen ein 
lauflicht bauen muss.

Die Zeit ist da aber kein Tut oder Fachlehrer (bin in Ausbildung zum 
Elektroniker für Energie und Gebäudetechnick) nicht.

Daher hoffe ich auf eure Hilfe

Eine Anleitung habe ich gefunden
http://mitchsoft.de/Elektronik/Projects/KITT_Effekt/Start.html

Nur verstehe ich die Bauteile nicht oder kann mir nicht erklären wofür 
sind

und ich weiss nicht ob ich den versuchsaufbau so flashen kann und den 
Programmer abziehen kann ohne verluste.

Am ende soll es ein Leuchtturm beleuchtung ergeben.

2 Schalter
S1 an /aus
S2 dauerlicht / umlaufendes Licht

Mit so vielen Led´s wie möglich.

Jeder Tipp jede Antwort würde mir helfen.

MfG

von newbie (Gast)


Lesenswert?

Angefangen beim Board:
Es reicht ein 10k und ein Atmega 8. Verbindungen von VCC über Schalter 
und GND anschließen und mit einem ISP-Programmer programmieren. Sofern 
man schon 5V hat

Steuerung der Dioden über Transistoren. Du kannst alle IO's zur 
Ansteuerung der LED's verwenden. Außer einen für den Modus. Die 
Transistoren verwendest du im Sättigungsbereich.

Und zur Programmierung:
- Erstmal initialisieren und die Ports als Ausgabe deklarieren
- Einen IO-Pin für den EIngang vorsehen
- um alles möglichst einfach zu halten: Eine Schleife, die zu Beginn die 
Schalterstellung abfragt --> High -> Modus 1 , LOW -> Modus 2 und dann 
halt je nach Modus entweder alle Ausgänge auch high setzen oder halt 
einen weiteren Schrittmerker, der immer eine Lampe schaltet und die 
anderen aus. Wie das geht (auch die Tasterabfrage steht im AVR-GCC tut)

Das ist alles!

Also alles schnell zusammengezimmert!

von Volker S. (volkerschulz)


Lesenswert?

Und die (fast) perfekte Leuchtturm-LED-Ansteuerung haben wir uns in 
folgendem Thread erarbeitet:

Beitrag "LED-Fading für Leuchtturm"

;)

Volker

von David S. (sefina)


Lesenswert?

Sorry den Anschluss habe ich nicht kappiert wofür 10k VCC will doch 5V 
oder nicht ?

Das mit der Leuchtturmmodel scheint gut zu sein nur halt wie gesagt 6 
Led´s sind etwas wenig :(

Das mit den fader und so raffe ich auch nicht so besondern :(

Danke schon mal an alle die Helfen :)

MfG

von Volker S. (volkerschulz)


Lesenswert?

David S. schrieb:
> [...]
> Danke schon mal an alle die Helfen :)

Bitte. Viel mehr als Dir den Aufbau und des ASM-Code auf einem 
Silbertablett zu servieren koennen (oder wollen) wir aber auch nicht. In 
verlinktem Beitrag ist uebrigens auch mehrfach auf 
Beitrag "AVR-Lauflicht" hingewiesen worden. Ein 
bisschen Eigeninitiative! ;)

Volker

von David S. (sefina)


Angehängte Dateien:

Lesenswert?

Hi nochmal also das ding ist ich benötige ja eigentlich was anderes

Nur ist die Frage ob ich da richtig liege :

1. unterbreche ich VCC (was +5V ist) dan bekommt der Atmega8 keinen 
Strom

2. ein nachglimmen vieler Led´s benötige ich z.b. ein ULN2803

3 wieviele LED´s kann ich den an ein Atmega anbringen wenn noch ein 
eingang zum Umschalten benötigt wird ?

Im Anhang habe ich ein Schaltplan von einer Schaltng mit 9 Led´s gehen 
auch mehr ?

Warum steht in dem Schaltplan 12V+

Das ding ist ich brauche erstmal ein Schaltplan an den ich mich 
Orientieren kann da ich nicht zu hause bin und die Teile in dieser WO 
bestellen muss das ich die Platine nächste Woche zusammenlöten und 
flashen kann.

Das nächste ist einmal sehe ich ein Schaltplan wo 5V+ an AVCC und einmal 
nen 10k Ohm wiederstand zwischen AVCC und 5V+

Mir soll man nichts durchkauen nur gibt es im Internet zuviele 
unterschiedliche Pläne sodas ich mitlerweile nicht mehr weiss was nun 
Richtig ist.

MfG

von Karl H. (kbuchegg)


Lesenswert?

David S. schrieb:
> Hi nochmal also das ding ist ich benötige ja eigentlich was anderes
>
> Nur ist die Frage ob ich da richtig liege :
>
> 1. unterbreche ich VCC (was +5V ist) dan bekommt der Atmega8 keinen
> Strom

Logisch

>
> 2. ein nachglimmen vieler Led´s benötige ich z.b. ein ULN2803

jein. Man kann das so machen, muss aber nicht. Aber auf jeden Fall ist 
es fahrlässig LED ohne Vorwiderstand zu betreiben.

>
> 3 wieviele LED´s kann ich den an ein Atmega anbringen wenn noch ein
> eingang zum Umschalten benötigt wird ?

Soviele wie noch Pins frei sind.
Sollte eigentlich logisch sein.

> Im Anhang habe ich ein Schaltplan von einer Schaltng mit 9 Led´s gehen
> auch mehr ?

Hast du am Mega Pins frei?
Hast du am ULN die Pins frei?

>
> Warum steht in dem Schaltplan 12V+

Weil die SChaltung mit 12V versorgt wird.

> Das ding ist ich brauche erstmal ein Schaltplan an den ich mich
> Orientieren kann da ich nicht zu hause bin und die Teile in dieser WO
> bestellen muss das ich die Platine nächste Woche zusammenlöten und
> flashen kann.

Das Problem ist, dass dir mit einem Bausatz vom Conrad besser gedient 
wäre. Wie kommst du auf die SChnapsidee, du könntest mit 0-Wissen in 
einer Woche eine Schaltung entwickeln?

> Das nächste ist einmal sehe ich ein Schaltplan wo 5V+ an AVCC und einmal
> nen 10k Ohm wiederstand zwischen AVCC und 5V+

Da ist kein 10k Widerstand zwischen AVcc und +5. Zumindest sollte da 
keiner sein. Auf dem Schaltplan ist AVcc falsch angeschlossen. Der 10k 
gehört zum Reset Pin.

>
> Mir soll man nichts durchkauen nur gibt es im Internet zuviele
> unterschiedliche Pläne sodas ich mitlerweile nicht mehr weiss was nun
> Richtig ist.

Ich glaube es wurde weitr oben schon erwähnt.
AVR-Tutorial
Da findet sich die Grundschaltung eines AVR

von Volker S. (volkerschulz)


Lesenswert?

Soll ich den Link zu Beitrag "AVR-Lauflicht" 
einfach noch mal posten, damit Du ihn zur Kenntnis nimmst? Einen 
Schaltplan zum orientieren findest Du dort direkt im zweiten Post...

Volker

von David S. (sefina)


Lesenswert?

Also das tut habe ich mir.durchlesen nur konnte ich dann nicht flashen 
ich kaufe.mir ein bausatz und verstehe dann trotzdem nicht wie es geht 
das Fachwissen versuche ich mir anzueignen und wie du in meinen ersten 
Post gelesen hast habe ich mehrere tust durch und mein Erfolg.war das 
programmieren einer led bis ich den Programmer getrennt habe dann hatte 
er keine Spannung mehr.

mfg

von David S. (sefina)


Lesenswert?

Ich benutze aber ein atmega8 der hat 28 pins davon habe ich noch 6 Stück 
kein tinity.

deswegen meinte ich ich brauche einen.

mfg

von Karl H. (kbuchegg)


Lesenswert?

David S. schrieb:
> Also das tut habe ich mir.durchlesen nur konnte ich dann nicht flashen
> ich kaufe.mir ein bausatz und verstehe dann trotzdem nicht wie es geht
> das Fachwissen versuche ich mir anzueignen und wie du in meinen ersten
> Post gelesen hast habe ich mehrere tust durch und mein Erfolg.war das
> programmieren einer led bis ich den Programmer getrennt habe dann hatte
> er keine Spannung mehr.

Ja, weißt du.
Es ist schön, wenn du das alles beschreibst.
Aber ein SChaltplan ist eben durch nichts zu ersetzen.

Wenn beispielsweise dein Programmer den µC mit Spannung versorgt hat, 
dann ist es nicht weiter verwunderlich, wenn alles steht, nachdem du den 
Programmer abgezogen hast. Das alles weißt aber nur du, weil du am 
Arbeitstisch sitzt. Von dieser Seite des Bildschirms aus, ist das alles 
nicht zu erkennen.

von Konrad S. (maybee)


Lesenswert?

Karl Heinz Buchegger schrieb:
> Weil die SChaltung mit 12V versorgt wird.

... und die Versorgung geht in einen 7812 rein, von dessen Ausgang ab 
nennt es sich VCC und versorgt den Mega8.
Oder eher: besorgt es dem Mega8!

@David S.
Der Schaltplan taugt nur für Leute, die Fehler erkennen können, bevor 
Rauch aufsteigt.

von Karl H. (kbuchegg)


Lesenswert?

Konrad S. schrieb:
> Karl Heinz Buchegger schrieb:
>> Weil die SChaltung mit 12V versorgt wird.
>
> ... und die Versorgung geht in einen 7812 rein, von dessen Ausgang ab
> nennt es sich VCC und versorgt den Mega8.

Ooops. Du hast recht.
Ich hab ehrlich gesagt nur den 78xx gesehen und nicht auf die 
Bezeichnung geachtet.

> Oder eher: besorgt es dem Mega8!
und wenn schon, dann besorgt es ihm ordentlich!

Danke für den Catch! Das ist ja schon fast gemeingefährlich.

von David S. (sefina)


Lesenswert?

Deswegen habe ich nachgefragt.

Der Atmega8 läuft mit 5V diese bekomme ich durch ein 9V Block und ein 
Spannungsregulierungsschaltung.

Ich habe den "mySmartUSB light" Programmer

So als erstes habe ich dieses Tut benutzt

http://www.mikrocontroller.net/articles/AVR-Tutorial:_Equipment

da konnte ich aber nen Atmega nicht flashen und auslessen :(

Dan ein neues Tut gesucht :

http://mschrod.de/Elektronik/AVR/Atmega8/Atmega8_Board/Atmega8_Board.html

Da das Problem mit den beschriebenen Problem das er nur lief als der 
Programmer drin war.

Nun habe ich halt das andere Tut wo aber woll mehr Fehler drin sind.

Led´s weiss ich mit Endwiederstand habe auch ein Rechner dafür.

Mir fehlt leider ne Anleitung wo ich mich dran halten kann. Es werden 
Bauteile gennant wo ich nicht weiss was die machen und ne Beschreibung 
findet man auch nicht.

Meist nur Datenbläter auf Englisch die mir nur bedingt helfen.

Da ich momentan mit dem Handy im Internet surfe da ich in der Woche auf 
Montage bin :(

MfG

EDIT : wie gesagt ist ein 50cm grosser Leuchtturm und da sollen so viele 
Led´s wie Möglich verbaut werden

Das ding ist halt ich muss es in 2 Wochen schaffen sonst kann meine 
bessere hälfte den rest nicht machen und das würde woll ein reinfall in 
sachen Hochzeit werden.

MfG

von Weingut P. (weinbauer)


Lesenswert?

mal ehrlich ... so auf die Schnelle halte ich die Geschichte für 
reichlich ambitioniert.
Wäre es nicht einfacher und erfolgversprechender die Geschichte mit nem 
NE555 und dahinter n 4017 hängend zu lösen?

Ich sehe da sonst verschiedene Probleme auftauchen ...

Schaltplan gibts nicht
Layout gibts auch nicht
Elektronikkenntnisse rudimentär
Programmierkenntnisse scheinen auch eher weniger vorhanden
Werkzeug zum Debuggen vermutlich auch nicht gegeben etc. etc.

versuchs damit:
http://www.voelkner.de/products/82865/6-Kanal-Led-Lauflicht-Bausatz.html?WT.mc_id=googlebase&utm_source=google&utm_medium=base&utm_campaign=Q07956

Wenn mehr LED gebraucht werden dann halt 2-3-mal

ASM in n paar Minuten ist auch eher ... unwahrscheinlich ...
schau mal bei Bascom, da geht das rotieren der LED per
Rotate-Befehl in Nullkommanix

PS: edit: Das Ding nennt sich nicht "End"-widerstand sondern 
Vorwiderstand

von David S. (sefina)


Lesenswert?

das abrufen der Seite schaffe ich nicht mit dem Handy nur den preis von 
10 Euro da ist halt die frage was sind.es für leds kann ich ohne 
Probleme andere leds verbauen und mit kabeln von der steuereinheit weg 
bringen

das nächste ist kann ich damit ein dauerlicht produzieren. ok mit nen 
transistor kann ich das vielleicht hmm. wie gesagt kann die Seite nicht 
wirklich laden.


mfg

von Martin V. (oldmax)


Lesenswert?

Hi
Ok, David, ich glaube nicht, das wir so schnelle Hilfe geben können, das 
dein Projekt zur Hochzeit fertig wird. Es liegt sicherlich nicht an den 
Infos, sondern an dir. Du bist zu weit weg, nicht räumlich, sondern von 
der Materie. Wenn du noch nicht einmal deine Schaltung skizzieren 
kannst, wirds nix. Das solltest du als erstes lernen, deine Schaltung! 
Zweitens, wissen, das es für µC's Datenblätter gibt. Da stehen auch die 
Pinbelegungen drin und wie du sie verwenden musst (kannst). Google ist 
da doch sehr hilfreich. So ist fast zu vermuten, das der "RESET"-Pin 
keine Spannung erhält und dauernd auf "0" gezogen ist. Dann kannst du 
auf den Weltuntergang warten, der Controller denkt gar nicht daran, das 
Programm auszuführen.
Dann ist auch der Aufbau von deinem Programm natürlich erforderlich, um 
da eine Aussage treffen zu können. Woher sollen wir wissen, ob du die 
richtigen Initialisierungen durchführst.
Ich weiß nicht, wie du es dir vorgestellt hast, aber ein Elektriker, der 
mir erzählt das eine LED "Entladewiderstände" benötigt, hat noch einen 
weiten Weg vor sich...
Die Widerstände sind zur Strombegrenzung, da sonst deine LED 
"durchbrennt".
Ich will dich nicht entmutigen, aber in 14 Tagen.. das glaube ich noch 
nicht einmal, wenn du eine fertige Schaltung mit fertigem Programm 
bekommst.
Gruß oldmax

von David S. (sefina)


Lesenswert?

Story gerade gelesen das das Handy was falsches geschrieben hat.

sorry

Die Thematik ist halt neu und muss mir alles selber aneignen. Also werde 
ich mir woll ein bausatz besorgen nur was für einer ist gut und erfüllt 
meine Anforderungen.

Hoffe mir kann da wer helfen.

MfG

Editor :

 https://www.ssl-id.net/leds-and-more.de/catalog/index.php?osCsid=94150167a2ca9b67278c2849b2c523c9

würde der gehen ohne großen Aufwand ?

von Volker S. (volkerschulz)


Lesenswert?

Wenn Du das hier meinst:

https://www.ssl-id.net/leds-and-more.de/catalog/product_info.php?cPath=129&products_id=500&osCsid=94150167a2ca9b67278c2849b2c523c9

waere das doch schon mal ein guter Anfang. Ob Dir die Muster ausreichen 
musst Du natuerlich selbst wissen. Zu diesem Bausatz brauchst Du dann 
nur noch die LEDs + Vorwiderstaende und ein Netzteil.

Volker

von David S. (sefina)


Lesenswert?

Hi nochmal

Also das Teil arbeitet mit 7- 24 V
Das erreiche ich schonmal mit einem 9V Block

abzüglich 1,5V kommt dan am Ende 7,5 V an den Klemmen raus ?

Programmieren muss ich das ja net da ich 2 Trimmer habe muss ich also 
Wiederstände suchen die mit den 7,5 Volt zurecht kommen das mir meine 
LED´s nicht kaputt gehen.

An den 9V Block ein Schalter für Anlage an/aus

Jetzt habe ich nur noch das Problem mit den Dauerlicht.

Ich Würde das Bauteil einfach immer laufen lassen und mit einem weiteren 
Schalter 8 Transistoren schalten das die LED´s immer an sind.

Würde das aber das Bauteil Zerstören ?

Das nächste ist ich müsste nach den Transistoren wieder auf 7,5V Runter 
sonst gehen mir die LED´s ja wieder Kaputt also ein Weiterer Wiederstand 
vor den Transistoren.

Wenn das so Korekt ist was für Transistoren würdet ihr mir den Empfelen 
oder habt ihr andere Möglichkeiten ?

MfG

von Karl H. (kbuchegg)


Lesenswert?

Da ist ein Mega8 drauf.
Und eine ISP Schnittstelle.

Da du ja anscheinend das Equipment zum Programmieren eines AVR hast, 
spricht doch nichts dagegen, da ein eigenes Programm einzuspielen. Oder 
nicht?

Mit einem lauffähigen Controller und zb BASCOM ist das gar nicht so 
schwer, wo du doch eh nur 2 Muster brauchst.

von David S. (sefina)


Lesenswert?

du meinst das ich dan die 2 Poties weglasse ein schalter zwischen 9v und 
das Modul baue und ein Schalter zwischen stat eines Poties und dan mit 
nem anderen Controller einfach Programmiere ?

Habe ich mir auch zwischenzeitlich gedacht so spaare ich mir die 
Transistoren.

Das Problem ist dan aber nur das ich nicht die alten habe ich habe nen 
3*3 Stecker an dem dran muss also noch was seperates Basteln bekomme ich 
aber woll hin.

Aber Stimmt das den mit den 7,5 V ?

Weill es steht da abgabe Spannung ist Eingabe - 1,5V

Muss ich dan beim Programmen was beachten da ich ja net weiss wie die 
die Fusebits und so belegt haben.

Oder soll ich den bestehenden Controller einfach austauschen ?

MfG

von Karl H. (kbuchegg)


Lesenswert?

David S. schrieb:
> du meinst das ich dan die 2 Poties weglasse ein schalter zwischen 9v und
> das Modul baue und ein Schalter zwischen stat eines Poties und dan mit
> nem anderen Controller einfach Programmiere ?

Kann man machen.
1 Poti gegen einen Schalter tauschen, ist ja nun nicht die Welt.

>
> Habe ich mir auch zwischenzeitlich gedacht so spaare ich mir die
> Transistoren.

Nur damit das nicht misverstanden wird. Den Rest der Schaltung lässt du 
so wie er ist. Und ich würde auch den Bausatz erst mal genau nach 
Anleitung aufbauen und in Betrieb nehmen, ehe da drann rumgepfuscht 
wird.

> Das Problem ist dan aber nur das ich nicht die alten habe ich habe nen
> 3*3 Stecker an dem dran muss also noch was seperates Basteln bekomme ich
> aber woll hin.

Na ja. Ein Zwischenkabel, welches die Pins entsprechend umverdrahtet 
wird ja wohl nicht das Problem sein.

> Aber Stimmt das den mit den 7,5 V ?

Warum soll das nicht stimmen?
OK. 7.5V sind für einen 7805 schon etwas knapp, aber du willst ja 
sowieso eine 9V Batterie einsetzen (die nach viel kürzerer Zeit als du 
glaubst leer sein wird. 9V Batterien sind nicht gerade die 
Kapazitätskünstler)


> Weill es steht da abgabe Spannung ist Eingabe - 1,5V
>
> Muss ich dan beim Programmen was beachten da ich ja net weiss wie die
> die Fusebits und so belegt haben.

Das allererste was du tun wirst, ehe du am Mega8 irgendwas umstellst: Du 
liest den µC aus und speicherst dir das vorhandene Programm weg. Ebenso 
liest du die Fusebits aus.

Das heißt. Falls das geht und nicht die Sperrfuse gesetzt ist.

Aber: so ein Lauflicht ist ja nicht gerade Raketentechnik. In einem 
Lehrbuch zur µC-Programmierung mit 200 Seiten würde es sich irgendwo im 
Bereich Seite 15 bis Seite 20 wiederfinden.

Es ist also nicht sehr wahrscheinlich, dass da irgendwelche streng 
geheime Hi-Tech drinnen steckt, die besonders geschützt werden muss. Für 
ein Lauflicht machen sich die Chinesen keine 2 Stunden die Hände 
schmutzig, wenn ihnen ein Student der Uni HongKong das ganze in 30 
Minuten neu programmieren kann.


> Oder soll ich den bestehenden Controller einfach austauschen ?

Kannst du natürlich auch tun. Zur Sicherheit, falls du nicht fertig 
wirst.

von David S. (sefina)


Lesenswert?

ist ok habe alles bestellt naja schaltete 2 parallel dann erhöht sich 
die Kapazität und wenn es 1 Tag durchhalt ist das ok.

mfg

von Karl H. (kbuchegg)


Lesenswert?

Mich würde allerdings noch dein Experimentierboard interessieren.

Was ist das für eines?
Wie hast du es programmiert?

von Volker S. (volkerschulz)


Lesenswert?

Karl Heinz Buchegger schrieb:
> David S. schrieb:
>> Aber Stimmt das den mit den 7,5 V ?
>
> Warum soll das nicht stimmen?
> OK. 7.5V sind für einen 7805 schon etwas knapp, aber du willst ja
> sowieso eine 9V Batterie einsetzen (die nach viel kürzerer Zeit als du
> glaubst leer sein wird. 9V Batterien sind nicht gerade /die/
> Kapazitätskünstler)

Er meinte 7,5V an jedem Ausgang! Zum Berechnen der Vorwiderstaende...

David S. schrieb:
> ist ok habe alles bestellt naja schaltete 2 parallel dann erhöht sich
> die Kapazität und wenn es 1 Tag durchhalt ist das ok.

Ich kenne die Kapazitaet von Alkaline-9V-Blocks nicht, aber ein Tag 
duerfte schon reichlich knapp werden... Wenn's hoch kommt wuerde ich mit 
ein paar Stuendchen rechnen.

Volker

von David S. (sefina)


Lesenswert?

welches meinst du habe mehrere ausprobiert

was würdet ihr den benutzen also als Baterien ?
werde also die anzeige leds weg lassen um so viel Energie wie möglich zu 
sparen.

MfG

von Volker S. (volkerschulz)


Lesenswert?

Was fuer LEDs willst Du denn verwenden? Die Stromaufnahme (und damit die 
Laufzeit verschiedener Batterien / Akkus) laesst sich dann doch einfach 
ausrechnen...

Volker

von David S. (sefina)


Lesenswert?

Ich benutze die hier

 https://www.ssl-id.net/leds-and-more.de/catalog/product_info.php?cPath=199_21_42&products_id=40&osCsid=94150167a2ca9b67278c2849b2c523c9

mit 270 ohm Vorwiederstand.

habe keine Daten von der Batterien

MfG

von Volker S. (volkerschulz)


Lesenswert?

Bei zwei Alkali-9V-Blocks solltest Du dann auf keinen Fall mit mehr als 
6 Stunden rechnen... Eventuell sind 6 Mignonzellen in Reihe 
wirtschaftlicher (sollten mindestens doppelt so lange durchhalten wie 
die zwei 9V-Blocks parallel).

Volker

von David S. (sefina)


Lesenswert?

hmm dann hätte ich nen.Batteriehalter mitbestellen müssen. wichtig ist 
erstmal.das.es läuft dann werde ich weitergehen.

6 stunden bei 2 heißt 9 bei 3 und das reicht dicke und das nächste 
Projekt wird besser.

MfG

von David S. (sefina)


Lesenswert?

Nebenbei gefragt da es Bald kalt wird und der 24.12 nunmal nicht mehr 
lange hin ist.

Kennt wer gute AVR Bücher oder Experimentierboards am besten zusammen 
die sich auf neue Sachen beziehen wie mit USB flaschen und nicht über 
COM ?

Zu teuer sollte es auch nicht sein ;)

Andere Möglichkeiten wären auch gut nur ist kein Lehrer da den man 
Fragen kann nur in der HWK und da kommt man nur ab und an hin.

Das heisst alles selber beibringen ohne Hilfe von aussen.

Da ich viel auf Montage bin währen Bücher oder PDF´s gut.

MfG

von Volker S. (volkerschulz)


Lesenswert?

Man koennte ja im Menue dieser Webseite einfach mal auf "Buchtipps" 
klicken...

Volker

von David S. (sefina)


Lesenswert?

Da habe ich schon geschaut ich würde aber gern nen Board zum Buch haben 
wollen das ich learning be doing machen kann.

MfG

von Volker S. (volkerschulz)


Lesenswert?

Lad Dir mal das AVR-Studio (kostenlos) runter und stoebere in der 
Online-Hilfe. Ich habe mit dem Studio und dem STK500 angefangen. 
Ansonsten koennte myAVR noch interessant fuer Dich sein. Geschenkt 
gibt's das natuerlich alles nicht.

Die Low-Cost-Loesung waere dann ein Pollin Experimentierboard und das 
Internet als Ratgeber. ;)

Volker

von Martin V. (oldmax)


Lesenswert?

Hi
Und ergänzend noch ein USB-ISP-Stick. Dann braucht's auch keine "COM" 
mehr. Aber jetzt sind wir wieder bei "was brauch ich zum Einstieg...."
Gruß oldmax

von David S. (sefina)


Lesenswert?

Hi zusammen

Also der Bausatz ist Samstag angekommen und Heute Morgen sind schon 
einige Led´s und Wiederstände aufgelötet worden.

Die Anleitung ist etwas wünschenswert aber man kommt zurecht.

Habe nebenher noch einige Bascom tuts gelessen sodas ich woll das 
hinbekommen werde.

Es bleibt aber noch eine Frage.

Lauflicht mit PWM also sodas ich Led´s dimmen kann.

Was benötige ich dafür. die Ausgänge sind mit Transistoren geschalten 
und der Atmega läuft ohne externen Quarz ja nur mit 1 MHz.

Reicht das oder werde ich noch ein paar Bauteile mehr benötigen ?

oder kann man das allein programmier technisch lössen.

MfG

von Volker S. (volkerschulz)


Lesenswert?

David S. schrieb:
> [...]
> Lauflicht mit PWM also sodas ich Led´s dimmen kann.
>
> Was benötige ich dafür. die Ausgänge sind mit Transistoren geschalten
> und der Atmega läuft ohne externen Quarz ja nur mit 1 MHz.
>
> Reicht das oder werde ich noch ein paar Bauteile mehr benötigen ?
>
> oder kann man das allein programmier technisch lössen.

Wir haben doch schon die Links zu allen noetigen Informationen gepostet. 
Ich verweise nochmals auf Beitrag "LED-Fading für Leuchtturm" 
(wo uebrigens auch explizit der Takt genannt wird) und auch die weiteren 
Links innerhalb des Threads.

Volker

von David S. (sefina)


Lesenswert?

Das Beispiel ist Top nur alles zu hoch :(

Stehe derzeit am Anfang und muss mir das erst erarbeiten.
Das ist schon nen Programm auf höchsten LvL in meiner Sicht als Noob ;)

Das heisst das ich nicht wirklich den Anfang verstehe.

Wie gesagt bin am Anfang benutze Bascom als Software und ein
mySmartUSB light zum Flashen.

kenne die Sprachen nicht deswegen hier ein Bsp. kann man das Programm 
auch in der Sprache hinbekommen ?

‘Deklarationen + Initialisationen:
$CRYSTAL = 4000000 'Quarzfrequenz
$Regfile = “m8def.dat“ 'Registerdefinitionen Mega8
Config PortD = Output
Config PortB = Input
Config PinC.3 = Input
Config PinC.2 = Output
Config ....
DIM VariableA as byte
DIM VariableB as integer
....
‘Hauptprogramm ist immer eine Endlosschleife
DO
......
......
LOOP
END

MfG

von Karl H. (kbuchegg)


Lesenswert?

David S. schrieb:
> Das Beispiel ist Top nur alles zu hoch :(
>
> Stehe derzeit am Anfang und muss mir das erst erarbeiten.
> Das ist schon nen Programm auf höchsten LvL in meiner Sicht als Noob ;)

Das ist es.


Fang erst mal mir den einfachen Dingen an.
Sachen wie PWM und ineinanderfaden von LED ignorier erst mal.

Dein erstes Ziel ist: eine LED nach der anderen ein und wieder 
ausschalten. Damit bist du dann schon eine Weile beschäftigt.

> kenne die Sprachen nicht deswegen hier ein Bsp. kann man das Programm
> auch in der Sprache hinbekommen ?

Sicher kann "man".
Das ist aber nicht die Frage. Die Frage ist: "Kannst du?"
Und zum jetzigen Zeitpunkt lautet die Antwort: Nein
ALso musst du etwas dagegen tun.

Ein guter Einstige ist schon mal:
Bei Google nach "BASCOM Tutorial" suchen.

von David S. (sefina)


Lesenswert?

Das habe ich am we gemacht und habe vor mir liegen.
Habe heute auch einiges Geschäft wie if Bedingungen Usw.

Jetzt habe ich aber das Problem das einfachste sachen nicht gehen wie 
eine led an und aus je nach taster druck hat heute aber schon geklappt.

weiss aber nicht woran es liegt ob es am controller liegt aber das 
brennen war immer erfolgreich. spannungsabfall kann es aber auch nicht 
sein.
die Baterie hat immer noch 9.5 V .

Alles extrem seltsam.

Oder habe ich zu oft geflasht ?

MfG

von Karl H. (kbuchegg)


Lesenswert?

David S. schrieb:

> weiss aber nicht woran es liegt ob es am controller liegt aber das
> brennen war immer erfolgreich. spannungsabfall kann es aber auch nicht
> sein.

Einfache Faustregel.
Zu 80% sitzt das Problem immer vor dem Bildschirm. Bei Anfängern kann 
man die Prozentzahl ruhigen Gewissens auf 97% erhöhen.

von David S. (sefina)


Lesenswert?

Die Regel kenne ich ist auch meine Meinung aber habe noch 
microcontroller das ich das ausschliessen kann.

Ist bei neuen Sachen halt schwer hinterzusteigen.

aber mit genug tuts bekommt man das meist hin.

MfG

von David S. (sefina)


Lesenswert?

Hi zusammen

also habe die halbe nacht dran gebatselt obwoll ich es net vorhatte.

Der Origninale AtMega macht noch das was er soll
also liegt es nicht an der Baterie oder einen Baustein der für die 
Funktion gut ist.

Der Programmer sagt alles erfolgreich und nicht 1 Fehlermeldung.

Aber nach dem flashen tut sich nichts.

Also beim flaschenn ziehe ich die Baterie von der Platine ab Programmer 
ist immer an der Platine nur beim testen aus dem USB gezogen.

Nach dem flashen ziehe ich den raus und stecke die Baterie an.

Gestern Nachmittag hat mit diesen Prinzip alles geklappt aber nicht ab 
abend.

Jetzt eine neue Datei erstellt und neues Programm geschrieben, geflasht 
und nichts passiert.

[code]
$crystal = 1000000
$regfile = "m8def.dat"

Config Pind.2 = Input
Pind.2 = 1

Config Portb = Output


Do
      Pinb.4 = 1
Loop
[\code]

welcher befehl ist den der richtige wenn man hier im Forum nen Code 
schreibt ?

Also obwoll ich es nicht muss habe ich den PinD.2 halt als eingang 
genommen und der benutzt den Internen Pullup

An allen Pins von PortB sind Led´s also 8 Stück

Es soll nur 1 leuchten aber es passiert nichts.

Hoffe mir kann wer helfen.

MfG

von David S. (sefina)


Lesenswert?

Also habe den fehler gefunden gehabt.

Muss Output mit PortB bezeichnen.

Leider will der Taster nicht :(

die If Bedinung :

$crystal = 1000000
$regfile = "m8def.dat"

Config Pind.2 = Input
Pind.2 = 1

Config Portb = Output


Do
      If Pind.2 = 1 Then
         Reset Portb.1
      Else
         Set Portb.1
      End If
Loop

Die die Led leuchtet immer und geht nicht aus

Das Blinken ist einfach gewesen

$crystal = 1000000
$regfile = "m8def.dat"

Config Pind.2 = Input
Pind.2 = 1

Config Portb = Output


Do
      Set Portb.1
      Waitms 250
      Reset Portb.1
      Waitms 250

Loop


MfG

von Karl H. (kbuchegg)


Lesenswert?

1
$crystal = 1000000
2
$regfile = "m8def.dat"
3
4
Config Pind.2 = Input
5
Pind.2 = 1

Wenn du den Pullup einschalten willst

Portb.2 = 1



Lies dir das Kapitel
http://www.mikrocontroller.net/articles/AVR-Tutorial:_IO-Grundlagen
durch. Das ist zwar Assembler. Das macht aber nichts, denn die Techniken 
und Bezeichnungen und vor allen Dingen das Verhalten des µC sind in 
BASCOM auch nicht anders.

von Karl H. (kbuchegg)


Lesenswert?


von David S. (sefina)


Lesenswert?

Hi zusammen

Ich bin ein Rind
gut habe weiter gemacht ist ja recht einfach wenn man nicht Befehle 
verwechselt.

Mein derzeitiges Programm sieht so aus

$crystal = 1000000
$regfile = "m8def.dat"

Config Pind.2 = Input
Portd.2 = 1

Config Portb = Output

Dim X As Integer
X = 200


Do
      If Pind.2 = 1 Then
         Portb = &HFF
      Else
         Portb = 0
         Portb.0 = 1
         Waitms X
         Portb = 0
         Portb.1 = 1
         Waitms X
         Portb = 0
         Portb.2 = 1
         Waitms X
         Portb = 0
         Portb.3 = 1
         Waitms X
         Portb = 0
         Portb.4 = 1
         Waitms X
         Portb = 0
         Portb.5 = 1
         Waitms X
         Portb = 0
         Portb.6 = 1
         Waitms X
         Portb = 0
         Portb.7 = 1
         Waitms X
      End If
Loop

Jetzt passiert es das wenn ich Spannung anschliesse erstmal einmal
das Lauflicht läuft und nur nach einer vollen Umdrehung dauerlicht 
kommt.
würde ich vor jeden umschalten der Led schauen ob das Singnal stimmt 
würde ich ja 8 IF bedingungen haben.

Sieht mir nicht schön aus kann ich den die Pinzahl in eine Variable 
speichern und die immer hochzählen ?

Oder gibt es noch einfachere sachen ?

MfG

PS : thx für den link
die befehle habe ich vor mir liegen nur habe ich die vertauscht :(

PPS : Thx für deine Hilfe

von David S. (sefina)


Lesenswert?

Ok hatt geklappt.

Mir fallen Ideen beim Posten ein schlimm.

so hier das Programm

$crystal = 1000000
$regfile = "m8def.dat"

Config Pind.2 = Input
Portd.2 = 1

Config Portb = Output

Dim X As Integer
X = 200
Dim Y As Byte


Do
      If Pind.2 = 1 Then
         Portb = &HFF
      Else
         Portb = 0
         Portb.y = 1
         Y = Y + 1
         If Y = 8 Then Y = 0
         Waitms X
      End If
Loop

so kann ich es erstmal lassen
nachglimmen währe das nächste aber in bascom das wird das schlimme.

MfG

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.