Forum: FPGA, VHDL & Co. Testbench Counter Anfänger


von Jim_36 (Gast)


Angehängte Dateien:

Lesenswert?

Hallo,

ich habe mit Xilinx 11.1 einen Zähler in vhdl geschrieben. Die Datei und 
die Testbench habe ich angehängt. Immer wenn ich versuche die Datei zu 
simulieren, dann zeigt ISIM nur 'U' beim Takt an.

Habt ihr eine Idee was ich falsch gemacht habe?

von hiall (Gast)


Lesenswert?

vielleicht wegen groß/kleinschreibung von clk

von Duke Scarring (Gast)


Lesenswert?

hiall schrieb:
> vielleicht wegen groß/kleinschreibung von clk
Nein. Falsch geraten.

Jim_36 schrieb:
> Habt ihr eine Idee was ich falsch gemacht habe?
Dein Reset ist in der Testbench noch nicht definiert.
Versuch mal folgendes in der Testbench hinzuzufügen:
1
reset <= '1', '0' after 200 ns;

Welches Lehrbuch verwendest Du?
1
...
2
use IEEE.STD_LOGIC_ARITH.ALL;
3
use IEEE.STD_LOGIC_UNSIGNED.ALL;
4
...
5
   if( clk'event and clk = '1' ) then
6
...
Das ist veraltet, fehleranfällig und schwerer lesbar.

Duke

von Jim_36 (Gast)


Lesenswert?

Duke Scarring schrieb:
> reset <= '1', '0' after 200 ns;

Aha, okay jetzt funktioniert es. Heißt das, dass ich erst alle Eingänge 
mit einem Wert belegen muss, damit die Simulation funktioniert?


Duke Scarring schrieb:
> use IEEE.STD_LOGIC_ARITH.ALL;
> use IEEE.STD_LOGIC_UNSIGNED.ALL;
> ...
>    if( clk'event and clk = '1' ) then

Ich habe gar kein Buch verwendet. Aus em Internet zusammenkopiert... Ich 
habe jetzt

 if rising_edge(CLK) then

für den Takt verwendet. Was sollte ich noch daran ändern?

von Duke Scarring (Gast)


Lesenswert?

Jim_36 schrieb:
> Was sollte ich noch daran ändern?
Beitrag "IEEE.STD_LOGIC_ARITH.ALL obsolete"

:-)

Duke

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Jim_36 schrieb:
> dann zeigt ISIM nur 'U' beim Takt an.
Du simulierst nicht die Testbench, sondern dein Counter-Modul. Setz da 
mal vor Aufruf des Simulators den richtigen Top-Level. Da im 
Beitrag "Warum läuft die Simulation nicht?" war es das selbe Problem.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.